基于 Modelsim FLI 接口的FPGA仿真技术
2012-06-02 胡军强 李津生 洪佩琳 8
摘要:本文介绍了如何利用modelsim提供的FLI(Foreign Language Interface)接口进行VHDL设计文件的协同仿真,给出了协同仿真的意义以及协同仿真的程序结构和系统结构。 关键词:FPGA,仿真软件,协同仿真 1、Modelsim 及 FLI接口介绍 Modelsim是 Model Technology(Mentor Graphics的子公司)的 HDL 硬件描述语言仿真 .. [查看全文]
单片机多机冗余设计及控制模块的VHDL语言描述
2012-06-02 刘先昆 潘红兵 11
摘要:以三个单片机组成的系统为例介绍一种单片机多机冗余容错设计。阐述设计中关键的时钟同步技术和总线仲裁方法,给出控制模块的VHDL语言描述。 本文提出一种表决式单片机多机冗余设计方案。该方案不同于中央系统的多机冗余设计。大规模系统冗余大多采用完善而复杂的机间通讯协议实现系统重构,不太注重系统的实时性。本方 .. [查看全文]
VHDL设计中的电路简化问题
2012-06-02 10
摘 要 :从描述方法、设计规则、逻辑函数分析了VHDL设计中容易引起电路复杂化的原因,并提出了相应的解决方法。 近年来,随着集成电路技术的发展,用传统的方法进行芯片或系统设计已不能满足要求,迫切需要提高设计效率。在这样的技术背景下,能大大降低设计难度的VHDL设计方法正越来越广泛地被采用。但是VHDL设计是行为级 .. [查看全文]
Xilinx公司FPGA设计技术问答
2012-06-02 6
问:我在ISE4.1中,用fpga express verilog编译的某些文件,用modelsimxe只能前仿,不能后仿,不知5.1i是否有改进? 答: 4.1i支持用Modelsim XE实现行为级仿真和时间仿真,5.1I也同样。请用热线(china_support xilinx.com)打开一个例子并在4.1i/Modelsim XE运行时间仿真以解决你的问题。 问:和5.1结合比较好的验证工具 .. [查看全文]
基于NIOS II嵌入式处理器实现LCD的控制
2012-06-02 廖红华 程涛 10
随着半导体技术以及计算机软硬件技术的飞速发展,对于图像的显示的控制技术也呈现出越来越多的方式。本文介绍了一种基于NIOS II软核处理器实现对LCD-LQ057Q3DC02控制的新方法。在设计中利用FPGA的Altera的SOPC Builder定制NIOS II软核处理器及其与显示功能相关的“软” 硬件模块来协同实现显示控制的软硬件设 .. [查看全文]
VHDL设计举例:步进电机控制器
2012-06-02 20
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; ENTITY step_motor IS PORT( f, p, d: INSTD_LOGIC:='0'; speed : inSTD_LOGIC_VECTOR(1 downto 0); coil : OUTSTD_LOGIC_VECTOR(3 downto 0) ); END step_motor; ARCHITECTURE behavior OF s .. [查看全文]
提高NC-Verilog仿真效率的技巧
2012-06-02 13
本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响仿真效率。同时,我们也展示了一些技巧,以帮助用户的NC-Verilog在最大效率下仿真一个设计和测试平台。 文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。 安装最新发布的软件 首先确认你是否安 .. [查看全文]
常用的微波EDA仿真软件介绍
2012-06-02 15
1.引言 微波系统的设计越来越复杂,对电路的指标要求越来越高,电路的功能越来越多,电路的尺寸要求越做越小,而设计周期却越来越短。传统的设计方法已经不能满足系统设计的需要,使用微波EDA软件工具进行微波元器件与微波系统的设计已经成为微波电路设计的必然趋势。随着单片集成电路技术的不断发展,GaAs、硅为基础的微波 .. [查看全文]
关于NIOS II对FLASH的支持情况
2012-06-02 渐风 14
哥们我也是第一次正儿八经搞NIOS II,很多奇怪的问题都被俺碰上了,从头到尾一共花了将近一个月,终于把NIOS给活生生跑起来了。虽然浪费了很多时间,但经验值了提高了一些。 现在把FLASH的问题写一下给大家分享,希望能对各位有点帮助。 NIOS II的手册说的很明白,只支持具有CFI接口的FLASH器件。用AMD和INTEL的肯定没有 .. [查看全文]
Verilog HDL设计练习进阶(三)
2012-06-02 7
练习三. 利用条件语句实现较复杂的时序逻辑电路 目的:掌握条件语句在Verilog HDL中的使用。 与常用的高级程序语言一样,为了描述较为复杂的时序关系,Verilog HDL提供了条件语句供分支判断时使用。在可综合风格的Verilog HDL模型中常用的条件语句有if…else和case…endcase两种结构,用法和C程序语言中类似。两者相 .. [查看全文]
16*16bit并行乘法器设计
2012-06-02 6
构成并行乘法器的三个部分:部分积,华莱氏树,加法器。源程序也比较大,我也就挑这三个部分中的一些贴出来,我想这样也就足够了。如果各位网友,也想写的话,并且有困难的话,我将在能力范围之内提供帮助。这个乘法器我采用了5级流水线,10k20lab占用70%(其实还可以更少),速度46M(EP1C3可以跑到150M)。部分积:a .. [查看全文]
基于NIOS II的高速嵌入式指纹识别系统
2012-06-02 郭海波 王金海 刘长春 姚银花 8
1 引言 指纹识别作为生物特征识别的一种,在身份识别上有着其他识别方法不可比拟的优越性:指纹具有唯一性、不可复制且便于采集等优点;随着指纹传感器性价比的提高。指纹的采集也相对容易。指纹识别技术是通过分析指纹局部特征,从中抽取详尽的特征点来可靠地确认个人身份。指纹的复杂度能提供用于鉴别的足够特征。具有极高的 .. [查看全文]

推荐文章
最新文章
热门文章
本站简介 | 意见建议 | 免责声明 | 版权声明 | 联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号