高速FPGA系统的信号完整性测试和分析
2012-06-02 张楷 39
1. 引言 随着FPGA的设计速度和容量的明显增长,当前流行的FPGA芯片都提供高速总线,例如DDR内存总线,PCI-X总线、SPI总线;针对超高速的数据传输,FPGA通过集成SerDes提供高速串行IO,支持各种诸如PCI-E、GBE、XAUI等高速串行总线协议,为各种不同标准的高速传输提供极大的灵活性。典型的高速FPGA器件提供的每一条物 .. [查看全文]
关于NIOS II对FLASH的支持情况
2012-06-02 渐风 14
哥们我也是第一次正儿八经搞NIOS II,很多奇怪的问题都被俺碰上了,从头到尾一共花了将近一个月,终于把NIOS给活生生跑起来了。虽然浪费了很多时间,但经验值了提高了一些。 现在把FLASH的问题写一下给大家分享,希望能对各位有点帮助。 NIOS II的手册说的很明白,只支持具有CFI接口的FLASH器件。用AMD和INTEL的肯定没有 .. [查看全文]
SDRAM接口的VHDL设计
2012-06-02 沙燕萍 曾烈光 16
SDRAM接口的VHDL设计 RAM(随机存取存储器?犑且恢衷诘缱酉低持杏τ霉惴旱钠骷?,通常用于数据和程序的缓存。随着半导体工业的发展,RAM获得了飞速的发展,从RAM、DRAM(Dynamic RAM,即动态RAM)发展到SDRAM(Synchronous Dynamic RAM,即同步动态RAM),RAM的容量越来越大、速度越来越高,可以说存储器的容 .. [查看全文]
基于NIOS II处理器的面阵CCD采集系统设计
2012-06-02 罗钧 12
SOPC (片上可编程系统)是Altera公司提出的一种灵活、高效的SOC解决方案,能将处理器、存储器、I/O 口等系统设计需要的功能模块集成到一个PLD器件上,构建一个可编程的片上系统。本文介绍的面阵CCD采集系统,利用Altera的SOPC Builder定制的NIOS II软核处理器及与采集相关功能的“软” 硬件模块来实现信号的采 .. [查看全文]
VHDL的CRC编码器的设计
2012-06-02 郑春来 25
CRC码是线性分组码的一个重要子集,它是为了保证通信系统中的数据传输可靠性而采取的信道编码技术。CRC码除了具有分组码的线性外,还具有循环性,其码字结构一般用符号(n,k)表示,其中,n是该码组中的码元数,k是信息码位数,r=n-k是监督码元位数。循环码具有许多特殊的代数性质,这些性质有助于按照要求的纠错能力系统地 .. [查看全文]
半整数分频的VHDL程序
2012-06-02 9
想出了一个半整数分频的VHDL语言描述 其实很多问题只要你耐心,也是比较容易的 写出来与大家共享,共同讨论,半整数分频当然还有其他的方法 我认为这种看起来蛮简单的 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity abc is port(clk:in std_logic; dout:out std_logic); end abc; architecture x .. [查看全文]
ModelSim作布局布线后仿真的库问题
2012-06-02 12
Modelsim是目前最流行的应用最广泛的FPGA仿真器,是Mentor Graphics的子公司Model Technology开发的。因为Modelsim好学易用,调试方便,仿真速度快,功能强大,所以很多芯片厂商的开发系统都OEM Mentor Graphics公司的Modelsim仿真器,包括Xilinx,Altera,Lattice和Actel等。Modelsim是一个单内核仿真器,同一个内 .. [查看全文]
一个简单的总线轮询仲裁器Verilog代码
2012-06-02 9
下面这个是以输入信号作为状态机的转移条件,写得比较冗余: // // Verilog Module demo1_lib.bus_arbitor.arch_name // // Created: //by - Newhand //in - Shanghai ZhangJiang //at - 20:39:41 2003-12-03 // using Mentor Graphics HDL Designer(TM) // ///////////////////////////////////////////////////////// .. [查看全文]
FPGA实现信号延时的方法
2012-06-02 13
FPGA实现信号延时的方法汇总: 1、门延时数量级的延时(几个ns),可用逻辑门来完成,但告诉综合器不要将其优化掉(不精确,误差大,常常不被推荐)。比如用两个非门(用constraint 来告诉synthesizer 不要综合掉这些逻辑)。 2、使用delay cell,lcell。 3、采用更快的时钟,通过计数器来实现,对于比较小的延时,用两个DFF 级联就 .. [查看全文]
ModelSim SE仿真Altera库的建立
2012-06-02 shemily 21
(注:最近自己准备做后仿真,到坛子里看看了,发现一开始建库就是一个很大的问题。为了便以自己学习也为了给大家省点事,我花了十来个小时整理了一些资料,全部资料来自edacn.net/bbs的ModelSim的〈库〉,在下面的资料中不能一一列出作者,请各位网友多多包涵。下面的资料是大家的成果。by CHY 07.04.05) 1. modelsim怎 .. [查看全文]
基于Nios II软核的运动目标检测系统设计
2012-06-02 闫飞 胡宝霞 5
由监控中心网络服务器和多台视频主机组成的监控终端与摄像头视频服务器进行网络互联所组成的基于Internet技术的Client/Server体系结构的传统数字监控系统软、硬件要求较高,且使用专业化,局限了视频监控在孤立、分散以及对成本要求较苛刻的场合的应用。随着现场可编程逻辑门阵列(FPGA)的应用越来越广泛,基于FPGA的各种 .. [查看全文]
Verilog HDL代码描述对状态机综合的研究
2012-06-02 李玲 王祖强 陈东海 5
1 引言 Verilog HDL作为当今国际主流的HDL语言,在芯片的前端设计中有着广泛的应用。它的语法丰富,成功地应用于设计的各个阶段:建模、仿真、验证和综合等。可综合是指综合工具能将Verilog HDL代码转换成标准的门级结构网表,因此代码的描述必须符合一定的规则。大部分数字系统都可以分为控制单元和数据单元两个部分,控制单元 .. [查看全文]

推荐文章
最新文章
热门文章
本站简介 | 意见建议 | 免责声明 | 版权声明 | 联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号