提高NC-Verilog仿真效率的技巧
2012-06-02 13
本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响仿真效率。同时,我们也展示了一些技巧,以帮助用户的NC-Verilog在最大效率下仿真一个设计和测试平台。 文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。 安装最新发布的软件 首先确认你是否安 .. [查看全文]
16*16bit并行乘法器设计
2012-06-02 6
构成并行乘法器的三个部分:部分积,华莱氏树,加法器。源程序也比较大,我也就挑这三个部分中的一些贴出来,我想这样也就足够了。如果各位网友,也想写的话,并且有困难的话,我将在能力范围之内提供帮助。这个乘法器我采用了5级流水线,10k20lab占用70%(其实还可以更少),速度46M(EP1C3可以跑到150M)。部分积:a .. [查看全文]
基于单片机的复杂可编程逻辑器件快速配置方法
2012-06-02 12
基于SRAM(静态随机存储器)的可重配置PLD(可编程逻辑器件)的出现,为系统设计者动态改变运行电路中PLD的逻辑功能创造了条件。PLD使用SRAM单元来保存配置数据。这些配置数据决定了PLD内部的互连关系和逻辑功能,改变这些数据,也就改变了器件的逻辑功能。由于SRAM的数据是易失的,因此这些数据必须保存在PLD器件 .. [查看全文]
VHDL设计举例:步进电机控制器
2012-06-02 20
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; ENTITY step_motor IS PORT( f, p, d: INSTD_LOGIC:='0'; speed : inSTD_LOGIC_VECTOR(1 downto 0); coil : OUTSTD_LOGIC_VECTOR(3 downto 0) ); END step_motor; ARCHITECTURE behavior OF s .. [查看全文]
基于NIOS II的高速嵌入式指纹识别系统
2012-06-02 郭海波 王金海 刘长春 姚银花 8
1 引言 指纹识别作为生物特征识别的一种,在身份识别上有着其他识别方法不可比拟的优越性:指纹具有唯一性、不可复制且便于采集等优点;随着指纹传感器性价比的提高。指纹的采集也相对容易。指纹识别技术是通过分析指纹局部特征,从中抽取详尽的特征点来可靠地确认个人身份。指纹的复杂度能提供用于鉴别的足够特征。具有极高的 .. [查看全文]
怎样写testbench
2012-06-02 9
本文的实际编程环境:ISE 6.2i.03 ModelSim 5.8 SE Synplify Pro 7.6 编程语言 VHDL 在ISE 中调用ModelSim 进行仿真 一、 基本概念和基础知识 Testbench 不仅要产生激励也就是输入,还要验证响应也就是输出。当然也可以只产生激励,然后通过波形窗口通过人工的方法去验证波形,这种方法只能适用于小规模的设计。 在ISE 环境中, .. [查看全文]
用Verilog-HDL做CPLD设计(时序逻辑电路的实现)
2012-06-02 常晓明 李媛媛 22
Verilog-HDL与CPLD/FPGA设计应用讲座 第 8 讲 用Verilog-HDL做CPLD设计 时序逻辑电路的实现 8.1 闪烁灯的实现 8.2 流水灯的实现 8.3 可编程单脉冲发生器 在第七讲中,已经介绍了组合逻辑电路的实现。组合逻辑电路的特点是:在任意时刻,电路产生的稳定输出仅与当前时刻的输入有关。时序逻辑电路则不同于它,其特点是:在任意 .. [查看全文]
Verilog HDL设计练习进阶(三)
2012-06-02 7
练习三. 利用条件语句实现较复杂的时序逻辑电路 目的:掌握条件语句在Verilog HDL中的使用。 与常用的高级程序语言一样,为了描述较为复杂的时序关系,Verilog HDL提供了条件语句供分支判断时使用。在可综合风格的Verilog HDL模型中常用的条件语句有if…else和case…endcase两种结构,用法和C程序语言中类似。两者相 .. [查看全文]
常用的微波EDA仿真软件介绍
2012-06-02 15
1.引言 微波系统的设计越来越复杂,对电路的指标要求越来越高,电路的功能越来越多,电路的尺寸要求越做越小,而设计周期却越来越短。传统的设计方法已经不能满足系统设计的需要,使用微波EDA软件工具进行微波元器件与微波系统的设计已经成为微波电路设计的必然趋势。随着单片集成电路技术的不断发展,GaAs、硅为基础的微波 .. [查看全文]
Multisim 10仿真实验
2012-06-02 10
一、 实验目的 熟悉并掌握Multisim10对单片机的仿真过程。 加深对单片机硬件以及软件理论知识的理解。 二、 实验原理 1、Multisim10 美国国家仪器公司下属的ElectroNIcs Workbench Group在今年年初发布了Multisim 10。新版的Multisim10,加入了MCU模块功能,可以和8051等单片机进行编程联调,该软件元件丰富,界面直观,虚拟 .. [查看全文]
用VHDL设计乐曲发生器
2012-06-02 姜田华 13
1 概述随着EDA 技术的进展,基于可编程 ASIC 的数字电子系统设计的完整方案越来越受到人们的重视,并且以 EDA 技术为核心的能在可编程 ASIC 上进行系统芯片集成的新设计方法,也正在快速地取代基于 PCB板的传统设计方式。 与利用微处理器(CPU 或MCU)来实现乐曲演奏相比,以纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不 .. [查看全文]
Nios II开发常见问题
2012-06-02 9
许多朋友在进行Nios II系统的学习,开发中,常常会遇到许多问题;我也会经常接到许多E-Mail,以及电话,询问一些nios开发中的问题。我对这些问题进行了总结,写成了这篇文章。如果本文能对您有一点点帮助,我都会感到非常高兴。 对于许多问题,您仍然需要参考Altera提供的官方参考文档以及随机的help文档。 一。硬件相关: 1.EP .. [查看全文]

推荐文章
最新文章
热门文章
本站简介 | 意见建议 | 免责声明 | 版权声明 | 联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号