关于modelsim的使用
2012-06-02
标签:

由于modelsim命令模式查看波形不方便,所以我们在仿真结束后查看波形进行查看,就需要存储波形。下面是网上的一点东西,给我的仿真带来了方便。

VCD system task calls in the Verilgsource code.

.可以通过在源代码中添加语句来实现

initial begin

$Dumpfile("./test.fsdb");

$Dumpvars(0,test);

#60000000 $finish;

end

.也可以通过modelsim的命令来实现

vcdadd (CR-200) $dumpvars 转储层次信号

vcdfile (CR-210) $dumpfile 打开一个文件准备转储波形数据

vcdoff (CR-216) $dumpoff 停止转储

vcdon (CR-217) $dumpon 开始转储

modelsim 命令行模式举例:

vlib work

vmap work work

vlog -wrok work testbench.v

vsim -c work.testbench << !

vrun -all

quit -f

!

上面的脚本可以做成一个do文件执行。

可能会用到的工具/仪表
相关文章
推荐文章
热门文章
章节目录
本站简介 | 意见建议 | 免责声明 | 版权声明 | 联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号